CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vending machine

搜索资源列表

  1. MachineSales

    0下载:
  2. 自动售货机内部销售程序,包括收银机和售货机!-Vending machine sales of internal procedures, including cash registers and vending machines!
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-17
    • 文件大小:264981
    • 提供者:chgyserest
  1. gumdisp

    0下载:
  2. gum vending machine implementation in vhdl, state machine implementation,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:754
    • 提供者:hatela
  1. s

    0下载:
  2. 自动售饮料机-Beverage vending machine. . . . . . . . . . . . . . . . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:631
    • 提供者:lzndcb
  1. sh_machine

    0下载:
  2. 自动售货机:先投入一定数目货币,然后根据货币购买力进行相应货物的选择,然后确定购买,出货并找零,完成交易。也可在确定购买前任何时刻按取消键退出所有货币。-Vending machine: put a certain number of first currency, and then carried out in accordance with the corresponding purchasing power of money to choose the goods, and then de
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1600
    • 提供者:张利
  1. vending_machine

    0下载:
  2. 自动售货机模型,可以设置商品价钱及数量。0.5元及1元投币。可以返回最多1.5元。-Vending machine model, can set the price and quantity of goods. 0.5 yuan and 1 yuan coin. Can return a maximum of 1.5 per head.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:324281
    • 提供者:lijiang
  1. 8

    0下载:
  2. 8[1] .21 自动售货机 VHDL程序 与仿真.doc-8 [1] [1] .21 vending machine and simulation of VHDL procedures. Doc
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:143390
    • 提供者:fufeifei
  1. vhdl

    1下载:
  2. EDA 自动售货机 包涵源程序和仿真原理图。课程设计内容-EDA vending machine
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:61643
    • 提供者:WX
  1. shouhuoji

    0下载:
  2. 用PLC对自动售货机进行控制,根据工作要求,写出梯形图并给出解释。 输入地址:X0:一元币识别口;X1:五元币识别口;X2:十元币识别口;X3:咖啡按钮;X4:汽水按钮; 输出地址:Y10:咖啡出口,Y11:汽水出口,Y12:咖啡按钮指示灯,Y13:汽水按钮指示灯, Y14:找钱指示灯。 工作要求:(售货机可投入1元,5元或10元硬币。) (1)当投入硬币总值超过12元时,汽水按钮知识灯亮;又当投入的硬币总值超过15元时,汽水及咖啡按钮灯都亮。 (2)当汽水按钮指示灯亮时
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:10424
    • 提供者:zh
  1. shouhuoji

    0下载:
  2. 某自动售货机售A,B,C3种商品,他们的价格分别为1,3,4。 售票机进接受一元硬币。售货机面板上设有投币孔和退钱建,每种商品标识处有选择按键,上有指示灯表明当前投币说是否已经足够选买该商品。 -Vending machine sales to a certain A, B, C3 commodities, their prices were 1,3,4. Into the ticket vending machines to accept dollar coins. Coin dis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3507
    • 提供者:王玉
  1. test

    0下载:
  2. 嵌入式软件设计,基于uc/os-II操作系统的自动售货机-Embedded software design, based on the uc/os-II operating system, vending machine
  3. 所属分类:uCOS

    • 发布日期:2017-04-02
    • 文件大小:1493
    • 提供者:天尹
  1. vmachine

    0下载:
  2. Verilog code for vending machine.. Descr iption: Vending machine ll take two quarters and distribute one of the two flavors of juice(apple or orange). Inputs: • Q : A quarter has been inserted. • O : orange juice button is press
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:7762
    • 提供者:deepa
  1. Vending_Machine

    0下载:
  2. Vending Machine.Calculate the change of user, and gives in small quantity of coins
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-17
    • 文件大小:8858
    • 提供者:mp_13
  1. DGS_TRANSMIT_3

    0下载:
  2. 自动售货机 掉货检测系统 接收端 原代码  at89s52-Vending machine products out the receiving end detection system source code at89s52
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:26390
    • 提供者:ronnie
  1. aulto

    0下载:
  2. 自動販賣機控制電路,具有累計輸入金額和商品控制輸出和自動找零。-Vending machine control circuit, with a total amount of input and control output of goods and give change automatically.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4418
    • 提供者:TINO
  1. Vending_machine_simulation

    0下载:
  2. 自动售货机VHDL程序与仿真,功能包括货物信息存储,进程控制,硬币处理,余额计算,显示等功能-Vending machine simulation of VHDL procedures and functions, including cargo information storage, process control, coin handling, balance calculation, display and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:143401
    • 提供者:葛棋棋
  1. chengxu

    0下载:
  2. 售货机选择货物,计算总价、投入价格的主程序。-Vending machine choices of goods, calculation of total price, input prices, the main program.
  3. 所属分类:assembly language

    • 发布日期:2017-04-24
    • 文件大小:11736
    • 提供者:shiguangping
  1. Autoseller

    0下载:
  2. 基于VHDL开发的自动售货机系统,可实现自动售货过程中的基本功能,具有一定的代表性。-VHDL-based development of a vending machine system which can automatically process the basic functions of sales, with a certain representativeness.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5194
    • 提供者:Joseph
  1. zdshj

    0下载:
  2. 自动售货机控制系统设计 要求: 设计制作一个自动售货机控制系统。 该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 系统自动的计算出应找钱币余额、库存数量并显示。 -Vending machine control system desig
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:67212
    • 提供者:dws
  1. FSM2

    0下载:
  2. vhdl final state mashine 售货机-vhdl final state mashine vending machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1788980
    • 提供者:wwwss
  1. vengin_machine

    0下载:
  2. vending machine vending machine vending machine vending machine-vending machine vending machine vending machine vending machine vending machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:12292
    • 提供者:sd/sd
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 19 »
搜珍网 www.dssz.com